Сетевое издание
Международный студенческий научный вестник
ISSN 2409-529X

ANALOG TO DIGITAL CONVERSION

Kayukov I.Y. 1
1 Plant metallurgist
Analog-to-digital conversion is the process of converting an input physical quantity into its numerical representation. An analog-to-digital converter is a device that performs such a conversion. Formally, the input value of the ADC can be any physical quantity - voltage, current, resistance, capacitance, pulse repetition rate, shaft rotation angle, etc. However, for definiteness, in the future, by ADC we will understand only voltage-to-code converters. The concept of analog-to-digital conversion is closely related to the concept of measurement. Measurement is understood as the process of comparing the measured value with some standard, with analog-to-digital conversion, the input value is compared with some reference value (usually, with a reference voltage). Thus, analog-to-digital conversion can be considered as a measurement of the value of the input signal, and all the concepts of metrology, such as measurement errors, apply to it. An analog-to-digital converter converts analog signals into their corresponding digital signals. An analog signal can be represented by a certain number of amplitude values. The amplitude is measured after a certain period of time. The corresponding digital values are stored in turn and collectively form a digital signal. In other words, a time-varying digital signal is a sequence of numbers. These numbers can be represented in any number system or code. Most often, the ADC outputs the result in binary or BCD. This paper discusses the principles of ADC operation and its block diagram.
analog-to-digital converter
digital signal
adc
binary code
signal measurement

Аналого-цифровое преобразование – это процесс преобразования входной физической величины в ее числовое представление. [1]

Аналого-цифровой преобразователь (АЦП) – устройство, преобразующее значение непрерывной аналоговой величины в эквивалентный ей цифровой код.

Формально, входной величиной АЦП может быть любая физическая величина – напряжение, ток, сопротивление, емкость, частота следования импульсов, угол поворота вала и т.п. [1]

Измерение - процесс сравнения измеряемой величины с некоторым эталоном, при аналого-цифровом преобразовании происходит сравнение входной величины с некоторой опорной величиной.

Аналого-цифровое преобразование играет важную роль в современной электронной индустрии. АЦП позволяет получить цифровой код из непрерывного входного аналогового сигнала. [1]

Область применения аналого-цифрового преобразования:

1) Цифровые измерительные приборы

2) Системы радиосвязи

3) Автоматизированные системы контроля и управления

4) Системы преобразования и отображения данных

5) Программируемые источники сигналов

6) Звукозаписывающая аппаратура

7) Аудио и видео аппаратура

8) Антенные системы базовых станций

Потребность в аналого-цифровых преобразователях стимулирует их разработку и изготовление с новыми, более совершенными характеристиками, что в свою очередь приводит к возникновению новых областей применения. [1]

АЦП, как правило, устанавливаются в цепях обратных связей цифровых систем управления для преобразования аналоговых сигналов обратных связей в коды, воспринимаемые цифровой частью системы. Т.е. АЦП выполняют несколько функций, таких как: временная дискретизация, квантование по уровню, кодирование.

Обобщенная структурная схема АЦП представлена См. Рисунок 1. [1]

http://ets.ifmo.ru/denisov/dsp/lec3.files/image001.png

Рисунок 1 - Обобщенная структурная схема АЦП

Аналого-цифровое преобразование включает в себя:

1. Дискретизацию исходных аналоговых данных по времени, то есть происходит выборка значений входного аналогового сигнала в определенные дискретные моменты времени.

2. Квантование полученных значений по уровню (амплитуде), то есть округление значений непрерывной функции до известных величин.

3. Оцифровка квантованных данных, то есть замена полученных данных цифровым кодом. [2]

Основным и наиболее важным электронным компонентом измерительных и тестовых систем являются аналого-цифровые преобразователи, их точность определяет прецизионность тестового оборудования.

Функция АЦП заключается в преобразование входного аналогового сигнала в цифровой (дискретный) код, который в последствие поступает на различные цифровые блоки схемы, выполняющие необходимые операции с полученными данными.

Из этого следует, что преобразование есть не что иное, как изменение значения входной величины.

Разрешающая способность и скорость преобразования являются определяющими параметры АЦП. В зависимости от данных параметров определяется тип архитектуры АЦП, который будет в последствие изготовлен для той или иной системе.

Для того, что бы получить наиболее точное преобразования входного сигнала, на практике обычно использует максимально возможную частоту преобразования АЦП. Во время преобразования спектр входного сигнала состоит не только из «полезных» данных, но в сигнале возможно наличие различных искажений, которые могут быть вызваны высокочастотными шумами.

Для того что бы исключить помехи из «полезного» сигнала используют различные фильтры. [2]

Основные параметры АЦП представлены См. Таблицу 1.

Таблица 1 - Основные параметры АЦП

Статические

Динамические

Разрешающая способность

Максимальная частота дискретизации

Погрешность полной шкалы

Время преобразования

Погрешность смещения нуля

Время выборки

Погрешность линейности

 

Нелинейность

 

Дифферинциальная нелинейность

 

Монотонность характеристики преобразования

 

Температурная нестабильность

 

Процедура преобразования

Фактически это сложный процесс, который состоит из двух основных этапов:

1. Дискретизация сигнала.

2. Квантование по уровню.

Дискретизация сигнала - это определения промежутков времени, на которых измеряется сигнал, преобразование непрерывной функции в дискретную. Чем короче эти промежутки – тем точнее измерение. Периодом дискретизации (Т) называется отрезок времени от начала считывания данных до его конца. Частота дискретизации (f) – это обратная величина:

После считывания сигнала происходит его обработка и сохранение в память. [3]

За время, которое считываются и обрабатываются показания сигнала, он может измениться, таким образом, происходит искажение измеряемой величины.

По теореме Котельникова: Частота дискретизации должны быть как минимум в 2 раза больше чем частота дискретизируемого сигнала. [3]

График дискретизации непрерывной функции представлен См. Рисунок 2.

https://moluch.ru/blmcbn/38098/38098.001.png

Рисунок 2 - График дискретизации непрерывной функции

Задача дискретизации заключается в том, что непрерывность во времени аналогового сигнала преобразуется в последовательность цифровых импульсов, уровни которых определяются благодаря весовым функциям (квантование).

Рисунок 3 – Примеры разбиения на интервалы непрерывной функции

Точность преобразования аналогового сигнала прямо пропорциональна интервалам разбиения непрерывной функции. Значения дискретного входного сигнала определяются дискретными значениями интервалов времени.

Теорема Найквиста: Непрерывная функция может быть полностью восстановлена без потери данных по своим дискретным отсчетам только в том случае, если частота дискретизации больше чем в 2 раза максимальной частоты спектра входного сигнала. [3]

Для определения численного значение необходимо квантование по уровню.

Квант – это определенный промежуток измеряемых значений, усреднено приведенный к определенному числу.

X1...X2=Xy

Т.е. сигналы величиной от X1 до X2, условно приравнивается к определенному значению Xy.

Получается, чем больше квантов, тем более точные измерения и тем больше знаков после запятой они могут содержать. [3]

Число знаков после запятой определяется разрядностью АЦП.

Количество уровней квантования определяется по формуле:

, где n — количество разрядов, N — уровень квантования.

Типы АЦП

Рассмотрим основные виды АЦП следующих типов:

1. АЦП параллельного преобразования (прямого преобразования, flash ADC)

2. АЦП последовательного приближения (SAR ADC)

3. дельта-сигма АЦП (АЦП с балансировкой заряда)

АЦП прямого преобразования

Они часто используются в составе «конвейерных» АЦП, и имеют разрядность 6-8 бит. [4]

Архитектура АЦП прямого преобразования изображена на рисунке 4.

https://habrastorage.org/storage1/9464a67a/27194656/18f81b68/ae7a6f8d.jpg

Рисунок 4 - Архитектура АЦП прямого преобразования

Принцип действия АЦП: входной сигнал поступает одновременно на все «плюсовые» входы компараторов, а на «минусовые» подается ряд напряжений, получаемых из опорного путем деления резисторами R.

Все компараторы работают параллельно, время задержки схемы равно времени задержки в одном компараторе плюс время задержки в шифраторе. Компаратор и шифратор можно сделать очень быстрыми, в итоге вся схема имеет очень высокое быстродействие. [4]

АЦП последовательного приближения

Аналого-цифровой преобразователь последовательного приближения измеряет величину входного сигнала, осуществляя ряд последовательных «взвешиваний», то есть сравнений величины входного напряжения с рядом величин.

Архитектура АЦП последовательного приближения представлена См. Рисунок 5.

https://habrastorage.org/storage1/493c0a63/a7d53313/7baf95d4/c368df8c.gif

Рисунок 5 - Архитектура АЦП последовательного приближения

Таким образом, АЦП последовательного приближения состоит из следующих узлов:

1) Компаратор

2) Цифро-аналоговый преобразователь

3) Регистр последовательного приближения

4) Схема выборки-хранения

Достоинством устройства является относительно высокая скорость преобразования: время преобразования N-битного АЦП составляет N тактов. Точность преобразования ограничена точностью внутреннего ЦАП и может составлять 16-18 бит. [5]

Дельта-сигма АЦП

Принцип действия: входное напряжение сравнивается со значением напряжения, накопленным интегратором. На вход интегратора подаются импульсы положительной или отрицательной полярности, в зависимости от результата сравнения.

Таким образом, данный АЦП, представлен на рисунке 6, представляет собой простую следящую систему: напряжение на выходе интегратора «отслеживает» входное напряжение.

https://habrastorage.org/storage1/7534de12/db2d871b/24f44ced/8790d695.gif

Рисунок 6 - Архитектура дельта – сигма АЦП

Основным достоинством является высокая точность, обусловленная крайне низким уровнем собственного шума, но сигма-дельта АЦП имеют низкую скорость преобразования. [5]

АЦП является одним из основных компонентов электроники, которые главным образом применяются для совместного сопряжения цифровых блоков и систем с внешними аналоговыми сигналами.

С помощью АЦП выполняются операции преобразования аналоговых данных в цифровую форму. В данный момент АЦП может быть изготовлено в интегральной микросхеме.